Spacecraft Neural Network Control System Design using FPGA

Designing and implementing intelligent systems has become a crucial factor for the innovation and development of better products of space technologies. A neural network is a parallel system, capable of resolving paradigms that linear computing cannot. Field programmable gate array (FPGA) is a digital device that owns reprogrammable properties and robust flexibility. For the neural network based instrument prototype in real time application, conventional specific VLSI neural chip design suffers the limitation in time and cost. With low precision artificial neural network design, FPGAs have higher speed and smaller size for real time application than the VLSI and DSP chips. So, many researchers have made great efforts on the realization of neural network (NN) using FPGA technique. In this paper, an introduction of ANN and FPGA technique are briefly shown. Also, Hardware Description Language (VHDL) code has been proposed to implement ANNs as well as to present simulation results with floating point arithmetic. Synthesis results for ANN controller are developed using Precision RTL. Proposed VHDL implementation creates a flexible, fast method and high degree of parallelism for implementing ANN. The implementation of multi-layer NN using lookup table LUT reduces the resource utilization for implementation and time for execution.





References:
[1] Amos R. Omondi, and Jagath C. Rajapakse, "FPGA Implementations of
Neural Networks", Springer publisher, 2006.
[2] Zainalabedin Navabi, "Digital Design and Implementation with Field
Programmable Devices", Kluwer Academic Publishers, New York,
2005.
[3] Mehmet Tukel And Mustak Erhan Yalcin, "A New Architecture for
Cellular Neural Network on Reconfigurable Hardware with an Advance
Memory Allocation Method, in proc. of IEEE 2010 12th International
Workshop on Cellular Nanoscale Networks and their Applications
(CNNA), 2010
[4] Douglas L. Perry , "VHDL: Programming by Example", The McGraw-
Hill Companies, Inc. , 2002.
[5] Wiley J. Larson, and James R. Wertz, Spacecraft Mission Analysis and
Design, Micrcosm Press, Elo, Segrund, California, 2008.
[6] Mukund R. Patel, Spacecraft Power Systems, CRC Press, Boca Raton,
Florida, 2005.
[7] Alexander Gomperts, Abhisek Ukil, and Franz Zurfluh, "Development
and Implementation of Parameterized FPGA-Based General Purpose
Neural Networks for Online Applications", IEEE TRANSACTIONS ON
INDUSTRIAL INFORMATICS, 2010.
[8] A. Mellit, H. Rezzouk, A. Messai, B. Medjahed, "FPGA-based real time
implementation of MPPT-controller for photovoltaic Systems",
Renewable Energy Vol. 36, PP. 1652-1661, 2011.
[9] Mellit A, Mekki H, Messai A, Salhi H., "FPGA-based implementation
of an intelligent simulator for stand-alone photovoltaic system. Expert
Systems with Applications", Vol. 37, No.8, PP. 6036-6051, 2010.
[10] Deming Chen, Jason Cong, and Peichan Pan, "FPGA Design
Automation: A Survey",now Publishers Inc. , The Netherlands, 2006.
[11] Ian Grout, "Digital Systems Design with FPGAs and CPLDs", Elsevier
(Newnes press) publications, 2008.
[12] Nasri Sulaiman, Zeyad Assi Obaid, M. H. Marhaban and M. N.
Hamidon, "Design and Implementation of FPGA-Based Systems - A
Review", Australian Journal of Basic and Applied Sciences, Vol. 3, No.
4, PP. 3575-3596, 2009.
[13] Janardan Misra , IndranilSaha , "Artificial neural networks in hardware:
A survey of two decades of progress", Journal of Neurocomputing, Vol.
74, PP. 239-255, 2010.
[14] David W. Bishop, VHDL-2008 Support Library, published by EDA
Industry Working Groups and Accellera, http://www.vhdl.org/fphdl/,
online 2010.
[15] H. Mekki, A. Mellit, H.Salhi, and B. Khaled, "Modeling and simulation
of photovoltaic panel based on artificial neural networks and VHDLlanguage",
IEEE, 2007.