Physical Verification Flow on Multiple Foundries

This paper will discuss how we optimize our physical verification flow in our IC Design Department having various rule decks from multiple foundries. Our ultimate goal is to achieve faster time to tape-out and avoid schedule delay. Currently the physical verification runtimes and memory usage have drastically increased with the increasing number of design rules, design complexity, and the size of the chips to be verified. To manage design violations, we use a number of solutions to reduce the amount of violations needed to be checked by physical verification engineers. The most important functions in physical verifications are DRC (design rule check), LVS (layout vs. schematic), and XRC (extraction). Since we have a multiple number of foundries for our design tape-outs, we need a flow that improve the overall turnaround time and ease of use of the physical verification process. The demand for fast turnaround time is even more critical since the physical design is the last stage before sending the layout to the foundries.




References:
[1] Rahul Kapoor, Marilyn Adan and Louis Schaffer, “Achieving Optimal
Performance Scalability for Physical Verification,” Synopsys, Inc. 2004.
[2] Ahmed Arafa, Hend Wagieh, Rami Fathy, John Ferguson, Doug
Morgan, Mohab Anis, Mohamed Dessouky, “Schematic-Driven Physical
Verification: Fully Automated Solution for Analog IC design,” Mentor
Graphics Corporation, IEEE 2012.
[3] Elango Velayuthamt, “Accelerating Physical Verification with an In-
Design Flow,” Synopsys, Inc. May 2009.
[4] Paul Friedberg, “In-Design Physical Verification-Automatic DRC
Repair (ADR),” Synopsys, Inc. March 2011.
[5] Kister, Steve, Minimizing The Time Needed To Complete a Hierarchical
Design,” Synopsys, Inc. February 2011.