MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

The success of an electronic system in a System-on- Chip is highly dependent on the efficiency of its interconnection network, which is constructed from routers and channels (the routers move data across the channels between nodes). Since neither classical bus based nor point to point architectures can provide scalable solutions and satisfy the tight power and performance requirements of future applications, the Network-on-Chip (NoC) approach has recently been proposed as a promising solution. Indeed, in contrast to the traditional solutions, the NoC approach can provide large bandwidth with moderate area overhead. The selected topology of the components interconnects plays prime rule in the performance of NoC architecture as well as routing and switching techniques that can be used. In this paper, we present two generic NoC architectures that can be customized to the specific communication needs of an application in order to reduce the area with minimal degradation of the latency of the system. An experimental study is performed to compare these structures with basic NoC topologies represented by 2D mesh, Butterfly-Fat Tree (BFT) and SPIN. It is shown that Cluster mesh (CMesh) and MinRoot schemes achieves significant improvements in network latency and energy consumption with only negligible area overhead and complexity over existing architectures. In fact, in the case of basic NoC topologies, CMesh and MinRoot schemes provides substantial savings in area as well, because they requires fewer routers. The simulation results show that CMesh and MinRoot networks outperforms MESH, BFT and SPIN in main performance metrics.





References:
[1] Guerrier, P., Greiner, A.: A generic architecture for on-chip packetswitched
interconnections. DATE (2000).
[2] Hemani, A., et al.: Network on chip: An arquitectura for billion
transistor era. Proceedings of the IEEE NorChip Conference (2000).
[3] Dally, W., Towles, B.: Route packets, not wires: on-chip interconnection
networks. Proceedings of DAC (2001).
[4] Kumar, S., Jantsch, A., Soininen, J.-P., Forsell, M., Millberg, M., Öberg,
J., Tiensyrjä, K., & Hemani, A. (2002). A network on chip architecture
and design methodology. In: Proceedings of int-t symp. VLSI (ISVLSI)
(pp. 117-124).
[5] P. Guerrier, A. Greiner, "A generic architecture for onchip
packetswitched interconnections", Proceedings of DATE, Paris, France,
March, 2000. pp. 250-256.
[6] P.P. Pande, C. Grecu, A. Ivanov, and R. Saleh, "Design of a Switch for
Network on Chip Applications," Proc. Int-l Symp. Circuits and Systems
(ISCAS), vol. 5, pp. 217-220, May 2003.
[7] S. Kumar et al., "A Network on Chip Architecture and Design
Methodology," Proc. Int-l Symp. VLSI (ISVLSI), pp. 117-124, 2002.
[8] J. Hennessey and D. Patterson, Computer Architecture: A Quantitative
Approach. Morgan Kaufmann, 2003.
[9] P.P. Pande, C. Grecu, A. Ivanov, and R. Saleh, "Design of a Switch for
Network on Chip Applications," Proc. Int-l Symp. Circuits and Systems
(ISCAS), vol. 5, pp. 217-220, May 2003.
[10] Benini, L., Micheli, G.: Networks on chips: a new SoC paradigm.
SBCCI (2005) Computer 35(1) (2002), pp. 70-78.
[11] G. Varatkar and R. Marculescu, "Traffic Analysis for On-ChipNetworks
Design of Multimedia Applications," Proc. Design Automation Conf.
(DAC), pp. 510-517, June 2002.
[12] D.R. Avresky, V. Shubranov, R. Horst, and P. Mehra, “Performance
Evaluation of the ServerNetR SAN under Self-Similar Traffic,” Proc.
13th Int’l and 10th Symp. Parallel and Distributed Processing, pp. 143-
147, Apr. 1999.
[13] D. Wingard, “MicroNetwork-Based Integration for SoCs,” Proc. Design
Automation Conf. (DAC), pp. 673-677, June 2001.